ChipTools
0.2.post145
Introduction
Getting Started
Examples: Max Hold
Project Format
Testing
chiptools
ChipTools
»
Index
Index
A
|
B
|
C
|
D
|
E
|
F
|
G
|
H
|
I
|
L
|
M
|
N
|
O
|
P
|
Q
|
R
|
S
|
T
|
U
|
V
|
W
|
X
|
Y
A
add_colour() (chiptools.common.colourer.ColouredStreamHandler static method)
add_config() (chiptools.core.project.Project method)
add_config_dict() (chiptools.core.project.Project method)
add_constraints() (chiptools.core.project.Project method)
(chiptools.wrappers.synthesisers.vivado.Vivado method)
add_file() (chiptools.core.cache.FileCache method)
(chiptools.core.project.Project method)
add_files() (chiptools.core.project.Project method)
add_generic() (chiptools.core.project.Project method)
add_library() (chiptools.core.cache.FileCache method)
(chiptools.wrappers.simulator.Simulator method)
(chiptools.wrappers.simulators.ghdl.Ghdl method)
(chiptools.wrappers.simulators.isim.Isim method)
(chiptools.wrappers.simulators.modelsim.Modelsim method)
(chiptools.wrappers.simulators.vivado.Vivado method)
add_sources() (chiptools.wrappers.synthesisers.vivado.Vivado method)
add_unittest() (chiptools.core.project.Project method)
addAll() (chiptools.core.package_builder.PackageBuilder method)
addConstraints() (chiptools.wrappers.synthesisers.ise.Ise method)
ATTRIBUTE_FLOW (chiptools.common.filetypes.ProjectAttributes attribute)
ATTRIBUTE_LIBRARY (chiptools.common.filetypes.ProjectAttributes attribute)
ATTRIBUTE_NAME (chiptools.common.filetypes.ProjectAttributes attribute)
ATTRIBUTE_PATH (chiptools.common.filetypes.ProjectAttributes attribute)
ATTRIBUTE_PREPROCESSOR (chiptools.common.filetypes.ProjectAttributes attribute)
ATTRIBUTE_REPORTER (chiptools.common.filetypes.ProjectAttributes attribute)
ATTRIBUTE_SIM_DIR (chiptools.common.filetypes.ProjectAttributes attribute)
ATTRIBUTE_SIM_TOOL (chiptools.common.filetypes.ProjectAttributes attribute)
ATTRIBUTE_SYNTH_DIR (chiptools.common.filetypes.ProjectAttributes attribute)
ATTRIBUTE_SYNTH_PART (chiptools.common.filetypes.ProjectAttributes attribute)
ATTRIBUTE_SYNTH_TOOL (chiptools.common.filetypes.ProjectAttributes attribute)
ATTRIBUTE_SYNTHESIS (chiptools.common.filetypes.ProjectAttributes attribute)
B
bgblack() (in module chiptools.common.colourer)
bgblue() (in module chiptools.common.colourer)
bgbrown() (in module chiptools.common.colourer)
bgdarkblue() (in module chiptools.common.colourer)
bgdarkgray() (in module chiptools.common.colourer)
bgdarkgreen() (in module chiptools.common.colourer)
bgdarkred() (in module chiptools.common.colourer)
bgfuchsia() (in module chiptools.common.colourer)
bggreen() (in module chiptools.common.colourer)
bglightgray() (in module chiptools.common.colourer)
bgpurple() (in module chiptools.common.colourer)
bgred() (in module chiptools.common.colourer)
bgteal() (in module chiptools.common.colourer)
bgturquoise() (in module chiptools.common.colourer)
bgwhite() (in module chiptools.common.colourer)
bgyellow() (in module chiptools.common.colourer)
BINDING_INDICATION_RE (chiptools.parsers.vhdl.ParsedVhdlFile attribute)
black() (in module chiptools.common.colourer)
blank_cache_element (chiptools.core.cache.FileCache attribute)
blink() (in module chiptools.common.colourer)
blue() (in module chiptools.common.colourer)
bold() (in module chiptools.common.colourer)
bool_processor() (chiptools.common.filetypes.ProjectAttributes method)
brown() (in module chiptools.common.colourer)
C
cache_file_name (chiptools.core.cache.FileCache attribute)
call() (in module chiptools.common.utils)
CallGraph (class in chiptools.parsers.callgraph)
cast_attributes_to_dict() (chiptools.common.filetypes.ProjectAttributes static method)
chiptools
module
chiptools.chiptools_main
module
chiptools.common
module
chiptools.common.colourer
module
chiptools.common.exceptions
module
chiptools.common.filetypes
module
chiptools.common.utils
module
chiptools.core
module
chiptools.core.cache
module
chiptools.core.cli
module
chiptools.core.package_builder
module
chiptools.core.preprocessor
module
chiptools.core.project
module
chiptools.core.reporter
module
chiptools.parsers
module
chiptools.parsers.callgraph
module
chiptools.parsers.options
module
chiptools.parsers.vhdl
module
chiptools.parsers.xml_project
module
chiptools.testing
module
chiptools.testing.custom_runners
module
chiptools.testing.custom_runners.HTMLTestRunner
module
chiptools.testing.testloader
module
chiptools.wrappers
module
chiptools.wrappers.simulator
module
chiptools.wrappers.simulators
module
chiptools.wrappers.simulators.ghdl
module
chiptools.wrappers.simulators.isim
module
chiptools.wrappers.simulators.iverilog
module
chiptools.wrappers.simulators.modelsim
module
chiptools.wrappers.simulators.vivado
module
chiptools.wrappers.synthesiser
module
chiptools.wrappers.synthesisers
module
chiptools.wrappers.synthesisers.ise
module
chiptools.wrappers.synthesisers.quartus
module
chiptools.wrappers.synthesisers.vivado
module
chiptools.wrappers.toolchains
module
chiptools.wrappers.wrapper
module
ChipToolsTest (class in chiptools.testing.testloader)
,
[1]
colour_terminal() (in module chiptools.common.colourer)
ColouredStreamHandler (class in chiptools.common.colourer)
colourise() (in module chiptools.common.colourer)
CommandLine (class in chiptools.core.cli)
CompilationException
compile() (chiptools.core.project.Project method)
(chiptools.wrappers.simulator.Simulator method)
(chiptools.wrappers.simulators.ghdl.Ghdl method)
(chiptools.wrappers.simulators.isim.Isim method)
(chiptools.wrappers.simulators.modelsim.Modelsim method)
(chiptools.wrappers.simulators.vivado.Vivado method)
compile_project() (chiptools.wrappers.simulator.Simulator method)
(chiptools.wrappers.simulators.iverilog.Iverilog method)
Component (class in chiptools.parsers.vhdl)
COMPONENT_RE (chiptools.parsers.vhdl.Component attribute)
CONFIG_DEFAULTS (chiptools.parsers.options.Options attribute)
Configuration (class in chiptools.parsers.vhdl)
CONFIGURATION_RE (chiptools.parsers.vhdl.Configuration attribute)
Constraints (class in chiptools.common.filetypes)
CONSTRAINTS_NODE_DEFAULTS (chiptools.common.filetypes.ProjectAttributes attribute)
create_named_functions() (in module chiptools.common.colourer)
create_parser() (in module chiptools.common.utils)
D
darkblue() (in module chiptools.common.colourer)
darkgray() (in module chiptools.common.colourer)
darkgreen() (in module chiptools.common.colourer)
darkred() (in module chiptools.common.colourer)
DEFAULT_DESCRIPTION (chiptools.testing.custom_runners.HTMLTestRunner.Template_mixin attribute)
DEFAULT_TITLE (chiptools.testing.custom_runners.HTMLTestRunner.Template_mixin attribute)
delete() (chiptools.core.cache.FileCache method)
do_add_tests() (chiptools.core.cli.CommandLine method)
do_clean() (chiptools.core.cli.CommandLine method)
do_compile() (chiptools.core.cli.CommandLine method)
do_exit() (chiptools.core.cli.CommandLine method)
do_graph() (chiptools.core.cli.CommandLine method)
do_load_project() (chiptools.core.cli.CommandLine method)
do_plugins() (chiptools.core.cli.CommandLine method)
do_pwd() (chiptools.core.cli.CommandLine method)
do_remove_tests() (chiptools.core.cli.CommandLine method)
do_run_preprocessors() (chiptools.core.cli.CommandLine method)
do_run_tests() (chiptools.core.cli.CommandLine method)
do_show_config() (chiptools.core.cli.CommandLine method)
do_show_synthesis_fileset() (chiptools.core.cli.CommandLine method)
do_show_tests() (chiptools.core.cli.CommandLine method)
do_simulate() (chiptools.core.cli.CommandLine method)
do_synthesise() (chiptools.core.cli.CommandLine method)
duration (chiptools.testing.testloader.ChipToolsTest attribute)
,
[1]
E
emit() (chiptools.common.colourer.ColouredStreamHandler method)
ENDING_TMPL (chiptools.testing.custom_runners.HTMLTestRunner.Template_mixin attribute)
entity (chiptools.testing.testloader.ChipToolsTest attribute)
,
[1]
Entity (class in chiptools.parsers.vhdl)
ENTITY_START_RE (chiptools.parsers.vhdl.Entity attribute)
environ_paths() (chiptools.wrappers.toolchains.ToolchainBase static method)
exec_quartus_sh() (chiptools.wrappers.synthesisers.quartus.Quartus method)
executables (chiptools.wrappers.simulators.ghdl.Ghdl attribute)
(chiptools.wrappers.simulators.isim.Isim attribute)
(chiptools.wrappers.simulators.iverilog.Iverilog attribute)
(chiptools.wrappers.simulators.modelsim.Modelsim attribute)
(chiptools.wrappers.simulators.vivado.Vivado attribute)
(chiptools.wrappers.synthesisers.ise.Ise attribute)
(chiptools.wrappers.synthesisers.quartus.Quartus attribute)
(chiptools.wrappers.synthesisers.vivado.Vivado attribute)
(chiptools.wrappers.toolchains.ToolchainBase attribute)
execute() (in module chiptools.common.utils)
ExecutionError
F
faint() (in module chiptools.common.colourer)
field_id_files (chiptools.core.cache.FileCache attribute)
field_id_libraries (chiptools.core.cache.FileCache attribute)
File (class in chiptools.common.filetypes)
FILE_NODE_DEFAULTS (chiptools.common.filetypes.ProjectAttributes attribute)
FileCache (class in chiptools.core.cache)
FileNotFoundError
FileType (class in chiptools.common.filetypes)
find_executable() (chiptools.wrappers.toolchains.ToolchainBase static method)
find_toolchain() (chiptools.wrappers.toolchains.ToolchainBase static method)
flush() (chiptools.testing.custom_runners.HTMLTestRunner.OutputRedirector method)
fuchsia() (in module chiptools.common.colourer)
Function (class in chiptools.parsers.vhdl)
G
generate_programming_files() (chiptools.wrappers.synthesisers.ise.Ise method)
(chiptools.wrappers.synthesisers.quartus.Quartus method)
generateReport() (chiptools.testing.custom_runners.HTMLTestRunner.HTMLTestRunner method)
generics (chiptools.testing.testloader.ChipToolsTest attribute)
,
[1]
get_all_definitions() (chiptools.parsers.vhdl.Component class method)
(chiptools.parsers.vhdl.Configuration class method)
(chiptools.parsers.vhdl.Entity class method)
(chiptools.parsers.vhdl.Function class method)
(chiptools.parsers.vhdl.Package class method)
(chiptools.parsers.vhdl.Procedure class method)
get_all_references() (chiptools.parsers.vhdl.Component class method)
(chiptools.parsers.vhdl.Entity class method)
(chiptools.parsers.vhdl.Package class method)
get_all_tool_argument_keys() (chiptools.core.project.Project method)
get_all_tools() (in module chiptools.wrappers.wrapper)
get_available_simulators() (chiptools.core.project.Project method)
get_available_synthesisers() (chiptools.core.project.Project method)
get_callchain() (chiptools.parsers.callgraph.CallGraph static method)
get_constraints() (chiptools.core.project.Project method)
get_date_string() (in module chiptools.common.utils)
get_definition_map() (chiptools.parsers.callgraph.CallGraph static method)
get_design_hierarchy() (chiptools.parsers.callgraph.CallGraph static method)
get_environment() (chiptools.testing.testloader.ChipToolsTest static method)
,
[1]
get_file_data() (chiptools.core.preprocessor.Preprocessor class method)
get_files() (chiptools.core.project.Project method)
get_fpga_part() (chiptools.core.project.Project method)
get_generics() (chiptools.core.project.Project method)
get_libraries() (chiptools.core.cache.FileCache method)
(chiptools.core.project.Project method)
get_parsed_file() (chiptools.parsers.callgraph.CallGraph static method)
get_path() (chiptools.wrappers.toolchains.ToolchainBase static method)
get_preprocessor() (in module chiptools.core.preprocessor)
get_processed_attribute() (chiptools.common.filetypes.ProjectAttributes static method)
get_reference_map() (chiptools.parsers.callgraph.CallGraph static method)
get_reporter() (chiptools.core.project.Project method)
(in module chiptools.core.reporter)
get_simulation_directory() (chiptools.core.project.Project method)
get_simulation_tool_name() (chiptools.core.project.Project method)
get_simulator_library_dependencies() (chiptools.core.project.Project method)
(chiptools.parsers.options.Options method)
get_synthesis_directory() (chiptools.core.project.Project method)
get_synthesis_fileset() (chiptools.core.project.Project method)
get_synthesis_tool_name() (chiptools.core.project.Project method)
get_synthesis_tool_path() (chiptools.parsers.options.Options method)
get_system_config_path() (chiptools.core.project.Project method)
get_tests() (chiptools.core.project.Project method)
get_tool() (chiptools.wrappers.wrapper.ToolWrapper method)
get_tool_arguments() (chiptools.common.filetypes.File method)
(chiptools.core.project.Project method)
get_tool_names() (chiptools.core.cache.FileCache method)
get_user_tool_paths() (chiptools.parsers.options.Options method)
getOptionsPath() (chiptools.parsers.options.Options method)
getReportAttributes() (chiptools.testing.custom_runners.HTMLTestRunner.HTMLTestRunner method)
getSimulationTools() (chiptools.parsers.options.Options method)
getSynthesisTools() (chiptools.parsers.options.Options method)
Ghdl (class in chiptools.wrappers.simulators.ghdl)
green() (in module chiptools.common.colourer)
H
HEADING_ATTRIBUTE_TMPL (chiptools.testing.custom_runners.HTMLTestRunner.Template_mixin attribute)
HEADING_TMPL (chiptools.testing.custom_runners.HTMLTestRunner.Template_mixin attribute)
HTML_TMPL (chiptools.testing.custom_runners.HTMLTestRunner.Template_mixin attribute)
HTMLTestRunner (class in chiptools.testing.custom_runners.HTMLTestRunner)
I
initialise() (chiptools.core.project.Project method)
initialise_cache() (chiptools.core.cache.FileCache method)
INSTANCE_RE (chiptools.parsers.vhdl.Component attribute)
is_file_changed() (chiptools.core.cache.FileCache method)
Ise (class in chiptools.wrappers.synthesisers.ise)
ise_bitgen() (chiptools.wrappers.synthesisers.ise.Ise method)
ise_make_prom_file() (chiptools.wrappers.synthesisers.ise.Ise method)
ise_manual_flow() (chiptools.wrappers.synthesisers.ise.Ise method)
ise_map() (chiptools.wrappers.synthesisers.ise.Ise method)
ise_ngdbuild() (chiptools.wrappers.synthesisers.ise.Ise method)
ise_par() (chiptools.wrappers.synthesisers.ise.Ise method)
ise_promgen() (chiptools.wrappers.synthesisers.ise.Ise method)
ise_webtalk_off() (chiptools.wrappers.synthesisers.ise.Ise method)
ise_xflow() (chiptools.wrappers.synthesisers.ise.Ise method)
ise_xst() (chiptools.wrappers.synthesisers.ise.Ise method)
Isim (class in chiptools.wrappers.simulators.isim)
iterate_tests() (in module chiptools.common.utils)
Iverilog (class in chiptools.wrappers.simulators.iverilog)
L
library (chiptools.testing.testloader.ChipToolsTest attribute)
,
[1]
library_exists() (chiptools.wrappers.simulator.Simulator method)
(chiptools.wrappers.simulators.ghdl.Ghdl method)
(chiptools.wrappers.simulators.isim.Isim method)
(chiptools.wrappers.simulators.vivado.Vivado method)
library_in_cache() (chiptools.core.cache.FileCache method)
LIBRARY_NODE_DEFAULTS (chiptools.common.filetypes.ProjectAttributes attribute)
LIBRARY_RE (chiptools.parsers.vhdl.ParsedVhdlFile attribute)
lightgray() (in module chiptools.common.colourer)
load_cache() (chiptools.core.cache.FileCache method)
load_environment() (chiptools.testing.testloader.ChipToolsTest method)
,
[1]
load_project() (chiptools.core.project.Project method)
(chiptools.parsers.xml_project.XmlProjectParser static method)
load_tests() (in module chiptools.core.project)
locateProjects() (chiptools.core.cli.CommandLine method)
LogWrapper (class in chiptools.common.utils)
M
main (in module chiptools.testing.custom_runners.HTMLTestRunner)
main() (in module chiptools.chiptools_main)
makeProject() (chiptools.wrappers.synthesisers.ise.Ise method)
(chiptools.wrappers.synthesisers.quartus.Quartus method)
Modelsim (class in chiptools.wrappers.simulators.modelsim)
module
chiptools
chiptools.chiptools_main
chiptools.common
chiptools.common.colourer
chiptools.common.exceptions
chiptools.common.filetypes
chiptools.common.utils
chiptools.core
chiptools.core.cache
chiptools.core.cli
chiptools.core.package_builder
chiptools.core.preprocessor
chiptools.core.project
chiptools.core.reporter
chiptools.parsers
chiptools.parsers.callgraph
chiptools.parsers.options
chiptools.parsers.vhdl
chiptools.parsers.xml_project
chiptools.testing
chiptools.testing.custom_runners
chiptools.testing.custom_runners.HTMLTestRunner
chiptools.testing.testloader
chiptools.wrappers
chiptools.wrappers.simulator
chiptools.wrappers.simulators
chiptools.wrappers.simulators.ghdl
chiptools.wrappers.simulators.isim
chiptools.wrappers.simulators.iverilog
chiptools.wrappers.simulators.modelsim
chiptools.wrappers.simulators.vivado
chiptools.wrappers.synthesiser
chiptools.wrappers.synthesisers
chiptools.wrappers.synthesisers.ise
chiptools.wrappers.synthesisers.quartus
chiptools.wrappers.synthesisers.vivado
chiptools.wrappers.toolchains
chiptools.wrappers.wrapper
N
name (chiptools.wrappers.simulators.ghdl.Ghdl attribute)
(chiptools.wrappers.simulators.isim.Isim attribute)
(chiptools.wrappers.simulators.iverilog.Iverilog attribute)
(chiptools.wrappers.simulators.modelsim.Modelsim attribute)
(chiptools.wrappers.simulators.vivado.Vivado attribute)
(chiptools.wrappers.synthesisers.ise.Ise attribute)
(chiptools.wrappers.synthesisers.quartus.Quartus attribute)
(chiptools.wrappers.synthesisers.vivado.Vivado attribute)
NGCNetlist (chiptools.common.filetypes.FileType attribute)
NODE_PROCESSOR (chiptools.common.filetypes.ProjectAttributes attribute)
O
Options (class in chiptools.parsers.options)
OutputRedirector (class in chiptools.testing.custom_runners.HTMLTestRunner)
P
Package (class in chiptools.parsers.vhdl)
PACKAGE_START_RE (chiptools.parsers.vhdl.Package attribute)
PACKAGE_USE_CLAUSES (chiptools.parsers.vhdl.Package attribute)
PackageBuilder (class in chiptools.core.package_builder)
parse_project() (chiptools.parsers.xml_project.XmlProjectParser static method)
parse_range() (in module chiptools.common.utils)
ParsedVhdlFile (class in chiptools.parsers.vhdl)
platform_suffix (chiptools.wrappers.simulators.vivado.Vivado attribute)
plugin_discovery() (in module chiptools.wrappers.wrapper)
popen() (in module chiptools.common.utils)
popen_quiet() (in module chiptools.common.utils)
popen_throws_ex() (in module chiptools.common.utils)
Preprocessor (class in chiptools.core.preprocessor)
Procedure (class in chiptools.parsers.vhdl)
process() (chiptools.core.preprocessor.Preprocessor class method)
process_attributes() (chiptools.common.filetypes.ProjectAttributes static method)
project (chiptools.testing.testloader.ChipToolsTest attribute)
,
[1]
Project (class in chiptools.core.project)
PROJECT_NODE_DEFAULTS (chiptools.common.filetypes.ProjectAttributes attribute)
ProjectAttributes (class in chiptools.common.filetypes)
ProjectFileException
purple() (in module chiptools.common.colourer)
Python (chiptools.common.filetypes.FileType attribute)
Q
Quartus (class in chiptools.wrappers.synthesisers.quartus)
R
readOptionsPaths() (chiptools.parsers.options.Options static method)
red() (in module chiptools.common.colourer)
refresh() (chiptools.parsers.options.Options method)
REGEX (chiptools.parsers.vhdl.Function attribute)
(chiptools.parsers.vhdl.Procedure attribute)
relative_path_to_abs() (in module chiptools.common.utils)
remove_comments() (in module chiptools.parsers.vhdl)
remove_file() (chiptools.core.cache.FileCache method)
REPORT_CLASS_TMPL (chiptools.testing.custom_runners.HTMLTestRunner.Template_mixin attribute)
report_clock_utilization() (chiptools.wrappers.synthesisers.vivado.Vivado method)
report_drc() (chiptools.wrappers.synthesisers.vivado.Vivado method)
REPORT_TEST_NO_OUTPUT_TMPL (chiptools.testing.custom_runners.HTMLTestRunner.Template_mixin attribute)
REPORT_TEST_OUTPUT_TMPL (chiptools.testing.custom_runners.HTMLTestRunner.Template_mixin attribute)
REPORT_TEST_WITH_OUTPUT_TMPL (chiptools.testing.custom_runners.HTMLTestRunner.Template_mixin attribute)
report_timing() (chiptools.wrappers.synthesisers.vivado.Vivado method)
report_timing_summary() (chiptools.wrappers.synthesisers.vivado.Vivado method)
REPORT_TMPL (chiptools.testing.custom_runners.HTMLTestRunner.Template_mixin attribute)
report_utilization() (chiptools.wrappers.synthesisers.vivado.Vivado method)
reset() (in module chiptools.common.colourer)
run() (chiptools.testing.custom_runners.HTMLTestRunner.HTMLTestRunner method)
run_preprocessors() (chiptools.core.project.Project method)
run_tests() (chiptools.core.project.Project method)
runTests() (chiptools.testing.custom_runners.HTMLTestRunner.TestProgram method)
S
save() (chiptools.core.package_builder.PackageBuilder method)
save_cache() (chiptools.core.cache.FileCache method)
SDC (chiptools.common.filetypes.FileType attribute)
seconds_to_timestring() (in module chiptools.common.utils)
self_test() (in module chiptools.common.utils)
set_cache_path() (chiptools.core.project.Project method)
set_file_data() (chiptools.core.preprocessor.Preprocessor class method)
set_library_path() (chiptools.wrappers.simulators.ghdl.Ghdl method)
(chiptools.wrappers.simulators.isim.Isim method)
(chiptools.wrappers.simulators.modelsim.Modelsim method)
(chiptools.wrappers.simulators.vivado.Vivado method)
set_working_library() (chiptools.wrappers.simulator.Simulator method)
(chiptools.wrappers.simulators.ghdl.Ghdl method)
(chiptools.wrappers.simulators.isim.Isim method)
(chiptools.wrappers.simulators.modelsim.Modelsim method)
(chiptools.wrappers.simulators.vivado.Vivado method)
setUpClass() (chiptools.testing.testloader.ChipToolsTest class method)
,
[1]
show_test_selection() (chiptools.core.cli.CommandLine method)
sim_exe_name (chiptools.wrappers.simulators.isim.Isim attribute)
sim_ini_name (chiptools.wrappers.simulators.isim.Isim attribute)
(chiptools.wrappers.simulators.vivado.Vivado attribute)
sim_project_name (chiptools.wrappers.simulators.isim.Isim attribute)
sim_tcl_name (chiptools.wrappers.simulators.isim.Isim attribute)
(chiptools.wrappers.simulators.vivado.Vivado attribute)
simulate() (chiptools.core.project.Project method)
(chiptools.testing.testloader.ChipToolsTest method)
,
[1]
(chiptools.wrappers.simulator.Simulator method)
(chiptools.wrappers.simulators.ghdl.Ghdl method)
(chiptools.wrappers.simulators.isim.Isim method)
(chiptools.wrappers.simulators.iverilog.Iverilog method)
(chiptools.wrappers.simulators.modelsim.Modelsim method)
(chiptools.wrappers.simulators.vivado.Vivado method)
simulation_root (chiptools.testing.testloader.ChipToolsTest property)
,
[1]
Simulator (class in chiptools.wrappers.simulator)
SimulatorException
sortResult() (chiptools.testing.custom_runners.HTMLTestRunner.HTMLTestRunner method)
standout() (in module chiptools.common.colourer)
startup() (chiptools.parsers.options.Options method)
STATUS (chiptools.testing.custom_runners.HTMLTestRunner.Template_mixin attribute)
storeOutputs() (chiptools.wrappers.synthesiser.Synthesiser method)
string_tolower() (chiptools.common.filetypes.ProjectAttributes method)
STYLESHEET_TMPL (chiptools.testing.custom_runners.HTMLTestRunner.Template_mixin attribute)
subgraph() (in module chiptools.common.utils)
synth_design() (chiptools.wrappers.synthesisers.vivado.Vivado method)
synthesise() (chiptools.core.project.Project method)
(chiptools.wrappers.synthesiser.Synthesiser method)
(chiptools.wrappers.synthesisers.ise.Ise method)
(chiptools.wrappers.synthesisers.quartus.Quartus method)
(chiptools.wrappers.synthesisers.vivado.Vivado method)
Synthesiser (class in chiptools.wrappers.synthesiser)
SynthesisException
SystemVerilog (chiptools.common.filetypes.FileType attribute)
T
TCL (chiptools.common.filetypes.FileType attribute)
tcl_add_file() (chiptools.wrappers.synthesisers.quartus.Quartus method)
tcl_project_close() (chiptools.wrappers.synthesisers.quartus.Quartus method)
tcl_project_new() (chiptools.wrappers.synthesisers.quartus.Quartus method)
tcl_set_generic() (chiptools.wrappers.synthesisers.quartus.Quartus method)
tcl_set_part() (chiptools.wrappers.synthesisers.quartus.Quartus method)
tcl_set_top_level_entity() (chiptools.wrappers.synthesisers.quartus.Quartus method)
teal() (in module chiptools.common.colourer)
tee() (in module chiptools.common.utils)
teed_call() (in module chiptools.common.utils)
Template_mixin (class in chiptools.testing.custom_runners.HTMLTestRunner)
TestProgram (class in chiptools.testing.custom_runners.HTMLTestRunner)
throws_synthesis_exception() (in module chiptools.wrappers.synthesiser)
time_delta_string() (in module chiptools.common.utils)
ToolchainBase (class in chiptools.wrappers.toolchains)
ToolWrapper (class in chiptools.wrappers.wrapper)
topological_sort() (in module chiptools.common.utils)
turquoise() (in module chiptools.common.colourer)
U
UCF (chiptools.common.filetypes.FileType attribute)
underline() (in module chiptools.common.colourer)
UNITTEST_NODE_DEFAULTS (chiptools.common.filetypes.ProjectAttributes attribute)
UnitTestFile (class in chiptools.common.filetypes)
Unknown (chiptools.common.filetypes.FileType attribute)
V
Verilog (chiptools.common.filetypes.FileType attribute)
VHDL (chiptools.common.filetypes.FileType attribute)
Vivado (class in chiptools.wrappers.simulators.vivado)
(class in chiptools.wrappers.synthesisers.vivado)
vivado_name (chiptools.wrappers.synthesisers.vivado.Vivado attribute)
VivadoIp (chiptools.common.filetypes.FileType attribute)
VivadoXDC (chiptools.common.filetypes.FileType attribute)
W
white() (in module chiptools.common.colourer)
wraps_do_commands() (in module chiptools.core.cli)
write() (chiptools.common.utils.LogWrapper method)
(chiptools.testing.custom_runners.HTMLTestRunner.OutputRedirector method)
write_bitstream() (chiptools.wrappers.synthesisers.vivado.Vivado method)
write_checkpoint() (chiptools.wrappers.synthesisers.vivado.Vivado method)
write_designtree_png() (chiptools.core.project.Project method)
write_graph_png() (chiptools.parsers.callgraph.CallGraph static method)
write_includes() (chiptools.wrappers.simulators.isim.Isim method)
(chiptools.wrappers.simulators.vivado.Vivado method)
write_tcl() (chiptools.wrappers.synthesisers.vivado.Vivado method)
writelines() (chiptools.testing.custom_runners.HTMLTestRunner.OutputRedirector method)
X
xelab_name (chiptools.wrappers.simulators.vivado.Vivado attribute)
XML_ADDITIONAL_TOOL_ARGS_RE (chiptools.common.filetypes.ProjectAttributes attribute)
XML_NODE_COMMENT (chiptools.common.filetypes.ProjectAttributes attribute)
XML_NODE_CONFIG (chiptools.common.filetypes.ProjectAttributes attribute)
XML_NODE_CONSTRAINTS (chiptools.common.filetypes.ProjectAttributes attribute)
XML_NODE_FILE (chiptools.common.filetypes.ProjectAttributes attribute)
XML_NODE_GENERIC (chiptools.common.filetypes.ProjectAttributes attribute)
XML_NODE_LIBRARY (chiptools.common.filetypes.ProjectAttributes attribute)
XML_NODE_PROJECT (chiptools.common.filetypes.ProjectAttributes attribute)
XML_NODE_TEXT (chiptools.common.filetypes.ProjectAttributes attribute)
XML_NODE_UNITTEST (chiptools.common.filetypes.ProjectAttributes attribute)
XmlProjectParser (class in chiptools.parsers.xml_project)
,
[1]
xsim_name (chiptools.wrappers.simulators.vivado.Vivado attribute)
xvhdl_name (chiptools.wrappers.simulators.vivado.Vivado attribute)
xvlog_name (chiptools.wrappers.simulators.vivado.Vivado attribute)
Y
yellow() (in module chiptools.common.colourer)